CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 产生 可调 方波

搜索资源列表

  1. 波形发生器VHDL语言

    0下载:
  2. 能产生正弦波,三角波,方波,阶梯波,并且频率可调..
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-25
    • 文件大小:417860
    • 提供者:519201374
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:577
    • 提供者:tank tan
  1. fpga

    1下载:
  2. VHDL写的fpga程序,可产生三角波,方波据此波,正弦波,可实现任意频偏的调频,调相,调幅-Fpga write VHDL program can generate triangle wave, square wave accordingly wave, sine wave, can achieve any frequency offset of the FM, PM, AM
  3. 所属分类:驱动编程

    • 发布日期:2013-05-06
    • 文件大小:12225227
    • 提供者:陈俊涵
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
  1. xinhao001

    0下载:
  2. 产生正弦波、方波、锯齿波,频率可调,方波占空比可调-Generated sine wave, square wave, sawtooth wave, frequency adjustable, adjustable duty cycle square wave
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2963505
    • 提供者:wangyang
  1. NCDividerAndItsApplicationVHDLSourceeCode

    0下载:
  2. 用VHDL编写的数控分频器及其仿真结果图片。该程序能实现PWM波形输出以及产生正负脉冲宽度可调的方波输出。-Prepared by the NC VHDL Simulation results divider and pictures. The program can achieve positive and negative PWM waveform output and pulse width adjustable square wave output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:59097
    • 提供者:闫方义
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. myproj

    1下载:
  2. 使用vhdl语言设计波形发生器,产生正弦波,方波,三角波,锯齿波,实现频率,幅度可调。项目包附有设计说明和资料。-Waveform generator using vhdl language design, produce sine, square, triangle, ramp, realize the frequency, amplitude adjustable. Project package with design specifications and data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16139670
    • 提供者:李伟杰
  1. wave_freq

    0下载:
  2. 在VHDL/verilog环境下产生可调频率的波形,如三角波,方波,矩形波,同时支持计数功能,供参考-Adjustable frequency waveform generator in VHDL/verilog environment, such as triangle wave, square wave, rectangular wave, while supporting the counting function, for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:1818624
    • 提供者:haoyuguang
搜珍网 www.dssz.com